CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - Frequency Counter vhdl

搜索资源列表

  1. ADC_Fre_counter_LED_keyboard

    0下载:
  2. FPGA tlc0820采样控制 高精度测频 LED键盘显示 VHDl 调试与EP1C3-FPGA vhdl ADC LED keyboard frequency counter test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2595895
    • 提供者:Albert Sun
  1. shiyan6

    0下载:
  2. 一个8位的十进制频率计数器,功能经过测试.-An 8-bit decimal frequency counter, function tested.
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:1305
    • 提供者:何情
  1. freqm

    0下载:
  2. 以CPLD器件EPM7128SLC84-15为核心实现的简易数字频率计,采用在一定时间内对数字脉冲计数的方法,可直接测量TTL电平的数字脉冲信号的频率、周期和脉宽。其他一些信号可经过信号预处理电路变换后测量。 量程:1Hz~999999Hz 输入信号:(1)TTL电平数字脉冲信号;(2)方波/正弦波,幅度0.5~5V 显示:七段数码管显示频率(Hz)和周期/脉宽(us) 控制:两个拨码开关切换三种工作模式:测频率,测周期,测脉宽-Frequency Counter realize
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1054140
    • 提供者:tom
  1. freqm

    0下载:
  2. a simple implementation of a frequency meter with the BCD-counter and the 7-segment LED display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:13084
    • 提供者:wangfeng
  1. counter

    0下载:
  2. 频率计,自动记录信号波形,宽频,四位,自动换挡-Frequency counter, automatic recording signal waveform, broadband, 4, auto-shift
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:138489
    • 提供者:那唐
  1. counter

    0下载:
  2. 用VHDL语言实现的计时器,最大计时为24小时,计时精度为1ms,设有复位和暂停功能,使用的晶振频率为50Hz。-VHDL language implementation of the timer with a maximum time of 24 hours, timing accuracy of 1ms, with reset, and pause functions, using the crystal oscillator frequency is 50Hz.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3003
    • 提供者:周峰
  1. DDS

    0下载:
  2. VHDL经典设计 十进制 VHDL 频率计-VHDL classic design metric VHDL frequency counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:277768
    • 提供者:刘思行
  1. FPGApinlvji

    0下载:
  2. 当年本科时的毕业设计,信号发生器和频率计-The time of the year undergraduate graduate design, signal generator and frequency counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:10384
    • 提供者:zhanglei
  1. frequencycounter

    0下载:
  2. 一个简单大家容易看的懂的频率计设计程序,可以实现自动换挡功能。-A simple and easy to see to understand all of the frequency counter design program that can automatically shift feature.
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:1851
    • 提供者:zhangliang
  1. DigitalClock

    0下载:
  2. 基于FPGA的数字电子钟设计,系统总程序由分频模块、“时分秒”计数器模块、数据选择模块、报时模块、动态扫描显示和译码模块组成。得到一个将“时”、“分”、“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外有校时、校分和整点报时功能,并通过数码管驱动电路显示计时结果。-FPGA-based design of digital electronic clock, the system program by the total frequency modul
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:63477
    • 提供者:sunnan
  1. counter_bcd7

    0下载:
  2. bcd十进制计数器,用于频率计设计的计数器单元,输出zeros用于选通量程使用!-bcd decimal counter, the counter for frequency counter design unit, the output zeros for the use of strobe range!
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-04
    • 文件大小:525
    • 提供者:jim
  1. frequencymeter

    0下载:
  2. 测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。-Measuring the frequency of means, including electronic counter to measure frequencies with high precision, easy to use, fast measurement and easy to realize the advantages of automa
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:14278
    • 提供者:wangzhen
  1. zidongpinlv

    0下载:
  2. 4位自动换挡数字频率计设计 1、 由一个4位十进制数码管(含小数点)显示结果; 2、 测量范围为1Hz~9999KHz; 3、 能自动根据7位十进制的结果,自动选择有效数据的高4位进行动态显示(即量程自动转换),小数点表示是千位,即KHz; 4、 为检测设计正确与否,应将时钟通过PLL和手控分频器产生宽范围的多个频率来测试自动换档频率计功能。 -4 automatic transmission design a digital frequency meter, by a 4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:354577
    • 提供者:李伦特
  1. count

    0下载:
  2. 数字逻辑课程设计频率计数器(VHDL) CSDN 下载频道 -Digital Logic Course Design frequency counter (VHDL) CSDN download channel
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:702
    • 提供者:luokuan
  1. counter

    0下载:
  2. 这是一个从零计数到9999在归零的vhdl程序,程序不复杂,对于理解分频原理,数码管显示原理有很大的帮助-This is a count from zero to zero in the vhdl program in 9999, the program is not complicated, the principle for understanding the frequency, digital display of great help to the principle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1351
    • 提供者:王冰
  1. 4-10-VHDL-f1

    0下载:
  2. 四位10进制VHDL频率计设计说明 四位频率计的结构包括一个测频率控制信号发生器、四个十进制计数器和一个十六位锁存器(本例中所测频率超过测频范围时有警示灯)。-Four 10-digit frequency counter VHDL design descr iption of the structure of the four frequency meter includes a measuring frequency control signal generator, four deci
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:53919
    • 提供者:韦昊斯
  1. plj

    0下载:
  2. 此程序为fpga的频率计vhdl程序,功能是可以检测到输入信号的频率并且通过八位数码管显示-This procedure is the frequency counter vhdl fpga program function is to detect the frequency of the input signal and the digital display by eight
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:560720
    • 提供者:宫晓鹏
  1. pinlvji

    0下载:
  2. 自己编的一个频率计,verilog语言写的,用数码管显示方波的频率,测量量程是0.1hz~9999999hz,测方波的稳定性极高。-Their series a frequency counter, verilog language written with the digital display of the square wave frequency, measurement range is 0.1hz ~ 9999999hz, high stability of the square w
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1426005
    • 提供者:龙德勇
  1. Frequency-counter

    0下载:
  2. 基于FPGA的频率计设计。通过FPGA运用、 HDL编程,利用FPGA(现场可编程门阵列)芯片设计了一个8位数字式等精度频率计,该频率计的测量范围为0-100MHZ,利用QUARTUS II集成开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,经实际电路测试,仿真和实验结果表明,该频率计有较高的实用性和可靠性。-Frequency counter FPGA-based design. By using FPGA, VHDL programming, the use of FPGA (fi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:596239
    • 提供者:吴亮
  1. frequency-counter

    0下载:
  2. 这是使用VHDL语言编写的频率计数器源码。包含了各个模块以及主函数。-This is the source of the frequency counter using VHDL language. Contains various modules and the main function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1296
    • 提供者:张鑫
« 12 3 4 »
搜珍网 www.dssz.com